BPSK Modulator design - RF Cafe Forums

The original RF Cafe Forums were shut down in late 2012 due to maintenance issues - primarily having to spend time purging garbage posts from the board. At some point I might start the RF Cafe Forums again if the phpBB software gets better at filtering spam.

Below are the old forum threads, including responses to the original posts.

-- Amateur Radio
-- Anecdotes, Gripes & Humor
-- Antennas
-- CAE, CAD, & Software
-- Circuits & Components
-- Employment & Interviews
-- Miscellany
-- Swap Shop
-- Systems
-- Test & Measurement
-- Webmaster

Harsh
 Post subject: BPSK Modulator design
Posted: Fri Oct 07, 2005 9:33 pm 
Hi friends,

I want to design BPSK modulator for my communication system.I am very new to this stuff.I want to modulate 2 signals like one is information signal 1 khz freq while other is PN code 1 MHz freq ,and i want to modulate this signal using BPSK technique,but i dont have any idea about how to implement BPSK in hardware.

Could you please let me know that how can i achive this BPSK modualtion using hardware?could you please send me some basic idea, circuit or somthing which can help me to move ahead ?

Thanks
Harsh




Posted  11/12/2012